![]() METHOD OF FORMING CHEMICAL GUIDE STRUCTURE ON SUBSTRATE AND CHEMICAL EPITAXY METHOD
专利摘要:
The invention relates to a method for forming a chemical guiding structure for the self-assembly of a block copolymer by chemo-epitaxy, the method comprising the steps of: forming on a substrate (100) a layer functionalizing (220) a first polymeric material (140) having a first chemical affinity to the block copolymer; forming on the substrate guiding units (210) made of a second polymer material having a second chemical affinity with respect to the block copolymer, different from the first chemical affinity, and in which the guiding units (210) have a critical dimension of less than 12.5 nm and are formed by means of a mask comprising spacers (311). 公开号:FR3075774A1 申请号:FR1762863 申请日:2017-12-21 公开日:2019-06-28 发明作者:Raluca Tiron;Guillaume CLAVEAU;Ahmed GHARBI;Laurent Pain;Xavier Chevalier;Christophe Navarro;Anne PAQUET 申请人:Commissariat a lEnergie Atomique CEA;Commissariat a lEnergie Atomique et aux Energies Alternatives CEA; IPC主号:
专利说明:
METHOD FOR FORMING A CHEMICAL GUIDING STRUCTURE ON A SUBSTRATE AND CHEMOPEPATIXY METHOD TECHNICAL AREA The present invention relates to a process for forming a chemical guide structure intended for the self-assembly of a block copolymer by chemo-epitaxy. The present invention also relates to a method of chemo-epitaxy from a chemical guide structure. PRIOR ART Directed self-assembly of block copolymers (DSA, for "Directed Self-Assembly") is an emerging lithography technique used to form patterns of critical dimension less than 30 nm. This technique is a less expensive alternative to extreme ultraviolet lithography (EUV) and electron beam lithography ("e-beam"). The known methods of self-assembly of block copolymers can be grouped into two categories: grapho-epitaxy and chemo-epitaxy. Grapho-epitaxy consists of forming primary topographic patterns called guides on the surface of a substrate, these patterns delimiting zones inside which a layer of block copolymer is deposited. The guide patterns allow you to control the organization of the copolymer blocks to form higher resolution secondary patterns within these areas. Chemo-epitaxy consists in modifying the chemical properties of certain regions of the surface of the substrate, to guide the organization of the block copolymer subsequently deposited on this surface. The chemical modification of the substrate can in particular be obtained by the grafting of a polymer neutralization layer. Then, this neutralization layer is structured in order to create a chemical contrast on the surface of the substrate. Thus, the regions of the substrate not covered by the neutralization layer have a preferential chemical affinity for one of the blocks of the copolymer, while the regions of the substrate covered by the neutralization layer have an equivalent chemical affinity for all the blocks of the copolymer . The structuring of the neutralization layer is conventionally obtained by an optical or electron beam lithography step. To guarantee assembly of the block copolymer with a minimum of organizational defects, the regions of the substrate having a preferential affinity for one of the blocks are typically of width W equal to the width of the block copolymer domain, the latter being equal to half the natural period Lo of the copolymer (W = 0.5 * Lo) or equal to one and a half times this natural period (W = 1.5 * Lo). In addition, the regions of the substrate having a preferential affinity are typically separated in pairs by a distance Ls equal to an integer multiple of the period Lo (Ls = n * Lo, with n a non-zero natural integer called the factor of step multiplication). The C-C article. Liu et al. entitled [“Integration of block copolymer directed assembly with 193 immersion lithography”, J. Vac. Sci. Technol., B 28, C6B30-C6B34, 2010] describes a chemo-epitaxy process comprising the formation of a chemical guide structure on the surface of a substrate. The chemical guide structure is composed of guide patterns made of a polymer having a preferential affinity for one of the blocks of the copolymer and of a film of random copolymer grafted on the substrate outside the patterns, in a so-called rear region. -plan. The random copolymer is neutral with respect to the block copolymer, so that the domains of the copolymer are (after assembly) oriented perpendicular to the substrate. The chemical guide structure is intended to direct the self-assembly of the PS-b-PMMA block copolymer (polystyrene-b / oc-polymethylmethacrylate). The guide patterns, in the form of lines, are made of cross-linked polystyrene (X-PS). The random copolymer grafted between the lines is PS-r-PMMA. With reference to FIG. 1, this chemo-epitaxy method firstly comprises the formation of a cross-linked polystyrene film 11 on a silicon substrate 10. A mask made up of resin patterns 12 is then formed on the film. crosslinked polystyrene 11, by optical lithography (typically in 193 nm immersion). Then, the dimensions of the resin patterns 12 are reduced by an oxygen-based plasma step in order to obtain a width W of the order of the half-period of the block copolymer. During this step, the crosslinked polystyrene film 11 is also etched through the mask 12 by the plasma. This etching step is commonly called "trim etch". Patterns of crosslinked polystyrene, in the form of parallel lines 11 ′, are thus formed on the substrate 10. After the “trim eatch” etching step, the polystyrene lines 11 ′ have a width W equal to 15 nm and are spaced two by two with a distance Ls equal to 90 nm. After removal of the resin mask 12, the substrate 10 is covered with a solution comprising the graftable random copolymer, then the random copolymer is grafted between the lines 11 ′ to form a neutralization layer 13. Finally, a layer of PS-b -PMMA 14 is deposited and then assembled on the guide structure composed of the polystyrene lines 11 'and the neutralization layer 13. This chemo-epitaxy process gives good results with regard to the assembly of the PS-b-PMMA block copolymer, with in particular a low defect rate. However, it is not compatible with the new generation block copolymers, known as “high-X”, having a natural period Lo less than that of PS-b-PMMA, since the latter require very critical critical guide patterns. weak, typically less than 12.5 nm. The combination of an optical lithography step (typically in 193 nm immersion) and an additional “trim etch” etching step does not make it possible to reproduce dimension guide patterns in a reproducible manner at the scale of the substrate. critical as low, especially when in high density. A high variability is indeed observed in the dimensions of the guide patterns and this has repercussions on the assembly of the block copolymer, causing a large number of defects. A possible solution would be lithography by extreme ultraviolet (EUV) but the lack of technological maturity of this technique and its very high cost make it difficult to apply. SUMMARY OF THE INVENTION There is therefore a need to provide a method for forming a chemical guide structure on a substrate, which is compatible with new generation block copolymers and allows good dimensional control of the guide patterns, whatever their distribution over the substrate. According to the invention, there is a tendency to satisfy this need by providing a process for forming a chemical guide structure intended for the self-assembly of a block copolymer by chemo-epitaxy, this process comprising the following steps: - forming on a substrate a functionalization layer of a first polymer material having a first chemical affinity with respect to the block copolymer; forming on the substrate guide patterns in a second polymer material having a second chemical affinity with respect to the block copolymer, different from the first chemical affinity; and in which the guide patterns have a critical dimension of less than 12.5 nm and are formed by means of a mask comprising spacers. Thanks to the spacers, it is possible to reach critical dimensions compatible with new generation block copolymers called "high-X". The use of spacers also guarantees good control over the dimensioning of the guide patterns, regardless of their distribution on the substrate. In particular, the guide patterns formed by means of the spacers have a line edge roughness ("Line Edge Roughness") and a line width roughness ("Width Edge Roughness") lower than in the prior art , which makes it possible to obtain a better quality assembly of the copolymer and therefore, ultimately, better electrical performance. These advantages come from the fact that the spacers themselves benefit from excellent reproducibility performance, by the way in which they are formed. Preferably, the first chemical affinity is equivalent for each of the blocks of the copolymer and the second chemical affinity is preferable for one of the blocks of the copolymer. In a first embodiment of the invention, the formation process comprises the following steps: - forming the functionalization layer on the substrate; - deposit a layer of sacrificial material on the functionalization layer; - In the sacrificial material layer and in the functionalization layer, forming cavities opening onto the substrate, each cavity comprising a bottom and side walls; - form the spacers against the side walls of the cavities; - grafting the second polymeric material to the bottom of the cavities between the spacers; - remove the spacers and the layer of sacrificial material. In a second embodiment of the invention, the formation process comprises the following steps: - forming a layer of the second polymeric material on the substrate; - forming the spacers on the layer of the second polymeric material; - etching the layer of the second polymeric material through the spacers to form the guide patterns; - grafting the first polymeric material onto the substrate outside the guide patterns to form the functionalization layer; and - remove the spacers. According to a development of the second embodiment, the method further comprises a step of modifying the first chemical affinity in only part of the functionalization layer by exposure to ultraviolet (UV) radiation. Preferably, the exposure to UV radiation is carried out over the entire surface of the substrate before the step of removing the spacers, the UV radiation has a wavelength between 150 nm and 350 nm, and the spacers are separated in pairs. two at a distance less than or equal to half the wavelength. According to another development of the second embodiment, the mask comprises at least two spacers of critical dimension substantially equal to half of the natural period of the block copolymer. The spacers are also spaced two by two by a distance substantially equal to an integer multiple of the natural period of the block copolymer. The invention also relates to a method of chemo-epitaxy comprising the formation of a chemical guide structure on a substrate using the formation method described above, the deposition of a block copolymer on the guide structure chemical and assembly of the block copolymer. The block copolymer is advantageously a copolymer with a natural period of less than 25 nm, preferably chosen from the following: - PS-6-PMMA: polystyrene-block-polymethylmethacrylate, of which at least one of the two blocks is chemically modified; - PS-6-PDMS: polystyrene-block-polydimethylsiloxane; - PS-ô-PLA: polystyrene-block-polylactic acid; - PS-ô-PEO: polystyrene-block-polyethylene oxide; - PS - /> PMMA - /> PEO: polystyrene-block-polymethylmethacrylate-block-polyethylene oxide; - PS-6-P2VP: polystyrene-block-poly (2vinylpyridine); - PS-Ô-P4VP: polystyrene-block-poly (4vinylpyridine); - PS-6-PFS: poly (styrene) -block-poly (ferrocenyldimethylsilane); - PS-6-PI-6-PFS: poly (styrene) -block-poly (isoprene) -block-poly (ferrocenyldimethylsilane); - PS-ô-P (DMS-r-VMS): polystyrene-block-poly (dimethylsiloxane-r-vinylmethylsiloxane); - PS-6-PMAPOSS: polystyrene-block-poly (methyl acrylate) POSS; - PDMSB-6-PS: poly (1,1-dimethylsilacyclobutane) -block-polystyrene; - PDMSB-ô-PMMA: poly (1,1 -dimethylsilacyclobutane) -block-poly (methyl methacrylate); - PMMA-ô-PMAPOSS: poly (methyl methacrylate) -block-poly (methyl acrylate) POSS; - P2VP-6-PDMS: poly (2-vinylpyridine) -block-poly (dimethyl siloxane); - PTMSS-6-PLA: poly (trimethylsilylstyrene) -block-poly (D, L-lactide); - PTMSS-b-PDLA: poly (trimethylsilylstyrene) -block-poly (D-lactic acid); - PTMSS-b-PMOST: poly (trimethylsilylstyrene) -block-poly (4-methoxystyrene); - PLA-b-PDMS: poly (D, L-lactide) -block-poly (dimethylsiloxane); - PAcOSt-b-PSi2St: poly (4-acetoxystyrene) -block-poly (4- (Bis (trimethylsilyl) methyl) styrene); - 1,2-PB-b-PDMS: 1,2-polybutadiene-block-poly (dimethyl siloxane); - PtBS-b-PMMA: poly (4-tert-butylstyrene) -block-poly (methyl methacrylate); - PCHE-b-PMMA: polycyclohexane-block-poly (methyl methacrylate); - MH-b-PS: maltoheptaose-block-polystyrene. BRIEF DESCRIPTION OF THE FIGURES Other characteristics and advantages of the invention will emerge clearly from the description which is given below thereof, by way of indication and in no way limiting, with reference to the appended figures, among which: - Figure 1, previously described , represents steps of a method of chemo-epitaxy according to the prior art; - Figures 2A to 2F show steps of a method for forming a chemical guide structure, according to a first embodiment of the invention; - Figure 3 shows the assembly of a block copolymer deposited on the chemical guide structure of Figure 2F; - Figures 4A to 4G show steps of a method for forming a chemical guide structure, according to a second embodiment of the invention; - Figure 5 shows the assembly of a block copolymer deposited on the chemical guide structure of Figure 4G; - Figure 6 shows an optional additional step of the process of Figures 4A-4G; and - Figure 7 shows the assembly of a block copolymer on the chemical guide structure obtained after the step of Figure 6. For the sake of clarity, identical or similar elements are identified by identical reference signs in all of the figures. DETAILED DESCRIPTION OF AT LEAST ONE EMBODIMENT The method described below in relation to FIGS. 2A-2F, 4A-4G and 6 makes it possible to form a chemical guide structure on one face of a substrate 100. A chemical guide structure here designates a set of at least two polymer patterns arranged on distinct regions of the substrate 100 and having different chemical affinities, this assembly being repeated periodically on the surface of the substrate. A chemical contrast is thus created on the surface of the substrate. The substrate 100 may have a chemical affinity identical to one of the two polymer units. This chemical guide (or contrast) structure is intended to be covered with a block copolymer, within the framework of a directed self-assembly process of block copolymer by chemo-epitaxy. Chemical contrast makes it possible to direct (or "guide") the organization of the blocks of monomer that make up the copolymer. Thus, the chemical affinities of the polymer units are understood in relation to the blocks of the copolymer. These affinities can be chosen from the following possibilities: - preferential affinity for any one of the blocks of the copolymer; or - neutral, that is to say with an equivalent affinity for each of the blocks of the copolymer. A small difference in thickness, typically less than 10 nm, may exist between the patterns of the chemical guide structure or between the substrate and the patterns. This difference in thickness, forming a topographic contrast, has no negative impact on the assembly of the block copolymer, however. With reference to FIGS. 2F, 4G and 6, the guide structure 200 preferably comprises several guide patterns 210 and a neutralization layer 220. The neutralization layer 220 occupies at least one region of the surface of the substrate 100 devoid of patterns of guide 210, and in some embodiments of the method, the entire surface of the substrate 100 outside of the guide patterns 210 (cf. Figs.4G & 6). The role of the guide patterns 210 and the neutralization layer 220 is to chemically (and differently) functionalize the substrate 100. They can therefore also be described as functionalization patterns and layers. The guide patterns 210 are formed from a polymer having a preferential affinity for one of the blocks of the copolymer, while the neutralization layer 220 consists of a polymer whose affinity is neutral. In the following description, the term “grafting” of a polymer onto a substrate means the formation of covalent bonds between the substrate and the chains of the polymer. By way of comparison, the crosslinking of a polymer involves the formation of several bonds between the chains of the polymer. FIGS. 2A to 2F are sectional views illustrating steps S11 to S16 of the method for forming a chemical guide structure, according to a first embodiment of the invention. The first step S11 of the method, illustrated by FIG. 2A, consists in forming the neutralization layer 220 on the surface of the substrate 100. Preferably, the neutralization layer 220 has a substantially constant thickness, for example between 2 nm and 15 nm, and occupies the entire surface of the substrate 100. The neutralization layer 220 consists of a first polymer 140 whose affinity is neutral. This first polymer 140 is preferably a random copolymer composed of the same monomers as the block copolymer envisaged for the chemo-epitaxy process. For example, when the block copolymer is PS-6-PMMA (of which at least one of the blocks has been modified) of lamellar morphology, the neutralization layer 220 can be formed from the random copolymer PS-r-PMMA (with the same modified block), comprising for example 50% by mass of polystyrene (PS) and 50% by mass of polymethyl methacrylate (PMMA). The neutralization layer 220 can also be a self-assembled monolayer (also called SAM for “Self-Assembled Monolayer”). The first polymer 140 of the neutralization layer 220 is advantageously a graftable material. Step S11 of forming the neutralization layer 220 then comprises the deposition on the substrate 100 of a first solution containing the first graftable polymer, preferably by centrifugation (also called "spin-coating" in English). The first solution is prepared by diluting the first polymer 140 in an organic solvent, for example acetethyl ether acetate from propylene glycol (also called PGMEA for "Propylene glycol methyl ether acetate"). The deposition of the first solution is followed by a grafting operation of the first polymer 140 on the substrate 100, preferably by annealing. Annealing is for example carried out at a temperature equal to 250 ° C., for a period equal to 10 minutes, on a hot plate or in an oven. A rinsing operation using a solvent (eg PGMEA) then makes it possible to remove the first polymer 140 which has not been grafted. Alternatively, the first polymer 140 can be a crosslinkable material. After spreading the first solution by centrifugation, the first polymer 140 is crosslinked by annealing or by exposure to UV rays. Like the grafting operation, the crosslinking operation is advantageously followed by rinsing with a solvent. Step S12 of FIG. 2B comprises the deposition of a first layer 110 of sacrificial material on the neutralization layer 220 and the formation of cavities 111 in the first layer 110 and the neutralization layer 220. For the sake of clarity, only two of these cavities 111 have been shown in FIG. 2B. Each cavity 111 has a bottom 112 and side walls 113 extending in a secant direction to the surface of the substrate 100. Preferably, the side walls 113 extend in a direction perpendicular to the surface of the substrate 100. Furthermore, each cavity 111 opens onto the surface of the substrate 100. In other words, the bottom 112 of the cavity 111 consists of the substrate 100, the surface of which is advantageously planar. Each cavity 111 preferably has a depth H of between 30 nm and 150 nm and a width W ’of between 30 nm and 60 nm. The depth H of a cavity is measured perpendicular to the surface of the substrate 100, while the width W ’of the cavity is measured parallel to the surface of the substrate 100 in the section plane of FIG. 2B. When the first layer 110 has several cavities 111, these do not necessarily have the same dimensions or the same geometry. The cavities 111 may in particular take the form of a trench, a cylindrical well or a well of rectangular section. By way of example, the cavities 111 are rectilinear trenches, of identical dimensions and oriented parallel to each other. They also form a periodic structure, that is, they are spaced regularly. The period P of this structure, called "no repetition" ("pitch" in English), is preferably between 60 nm and 140 nm. The sacrificial material of the first layer 110 is preferably chosen from the materials which can be easily removed by wet etching and / or by dry etching, selectively with respect to the substrate 100. Mention may be made, for example, of dioxide silicon (S1O2), hydrogen silsesquioxane (HSQ) and silicon nitride (S13N4). Alternatively, the first layer 110 of sacrificial material may be formed from an antireflection coating containing silicon (also called "SiARC", for "Silicon-containing Anti-Reflective Coating"). The cavities 111 can be formed by photolithography or other structuring techniques, such as electron beam lithography ("e-beam"). In the case of photolithography, for example at a wavelength of 193 nm in immersion, the formation of the cavities 111 may in particular include the following operations: - deposition on the first layer 110 of a resin layer or of several layers intended to form a hard mask, for example a stack of three layers successively comprising a carbon layer deposited by centrifugation ("Spin On Carbon", SOC), an antireflective coating containing silicon (SiARC) and a layer of resin; - making openings in the resin layer and, if necessary, transferring the openings in the underlying layers of the hard mask (step of opening the mask); and - selective etching of the first layer 110 and of the neutralization layer 220 through the resin mask or the hard mask, the substrate 100 being insensitive to etching or protected by a layer insensitive to etching. The first layer 110 and the neutralization layer 220 are advantageously etched anisotropically, for example by means of a plasma. An anisotropic etching technique ensures better control of the dimensions of the cavities 111. The method then comprises the formation of spacers against the side walls 113 of the cavities 111, in order to reduce the width W ′ of the cavities beyond the resolution limit of the photolithography, to a value less than 12.5 nm . These spacers can be produced in two successive steps S13 and S14, represented respectively in FIGS. 2C and 2D. With reference to FIG. 2C, a second layer 120 of sacrificial material is deposited in a conforming manner on the substrate 100 covered with the neutralization layer 220 and with the first layer 110. The second layer 120 is thus of constant thickness and marries the relief formed on the surface of the substrate 100. The thickness of the second layer 120 is preferably between 5 nm and 25 nm. The conformal deposition technique used to deposit the second layer 120 is, for example, the deposition in atomic layers (or ALD, for “Atomic Layer Deposition”, possibly assisted by plasma (PEALD, “Plasma Enhanced Atomic Layer Deposition”). The sacrificial material of the second layer 120 can in particular be chosen from silicon dioxide (S1O2), a silicon oxyniture (SiOxNy), alumina (AI2O3) and hafnium dioxide (Hf02). It is therefore not necessarily identical to the sacrificial material of the first layer 110. With reference to FIG. 2D, the second layer 120 is then etched anisotropically, preferably by means of a plasma (for example fluorocarbon). The preferential etching direction is perpendicular to the surface of the substrate 100. This anisotropic etching step makes it possible to eliminate only the horizontal parts of the second layer 120, arranged above the first layer 110 and at the bottom of the cavities 111. The vertical parts of the second layer 120, disposed against the side walls 113 of the cavities 111, are preserved and constitute spacers 130. The etching of the second layer 120 is selective with respect to the substrate 100 and to the first layer 110. The material of the substrate is preferably insensitive to the etching of the sacrificial material. Otherwise, a specific layer may be provided to protect the substrate 100 from etching. During these steps S13 and S14, the first layer 110 of sacrificial material protects the neutralization layer 220. The chemical affinity of the neutralization layer 220 therefore does not risk being modified by the deposition plasma (PEALD) or the etching plasma. In step S15 of FIG. 2E, a second polymer 150 having a preferential affinity for one of the blocks of the copolymer is grafted onto the substrate 100 at the bottom of the cavities 111, in regions located between the spacers 130. To do this , the second polymer 150 can be dissolved in a solvent to form a second solution of polymer, then this second solution is deposited on the substrate 100 until filling, partially or entirely, the cavities 111. The second polymer solution is preferably deposited on the substrate 100 by centrifugation. The grafting is then carried out, for example by annealing according to the same operating mode as that described in relation to FIG. 2A. Part of the second polymer 150 in solution then attaches to the substrate 100 at the bottom of the cavities 111 and, superfluously, to the surface of the spacers 130. As before, the grafting is advantageously followed by a solvent rinsing operation. The first layer 110 and the spacers 130 made of sacrificial material thus act as a mask or stencil to locate the grafting of the second polymer 150 on the substrate 100. The second polymer 150 is preferably a homopolymer of the same composition as one of the blocks of the copolymer, for example a polystyrene homopolymer (h-PS). Furthermore, its molar mass is advantageously less than 5 kg.mol'1, in order to ensure a high grafting density at the level of the substrate 100. Step S16 of FIG. 2F then consists in removing the first layer 110 and the spacers 130 of sacrificial material selectively with respect to the substrate 100, the first polymer and the second polymer 150 grafted onto the substrate. The second polymer 150 grafted to the surface of the spacers 130 is removed at the same time as the spacers 130. Only then remain on the substrate 100 (covered with the layer of neutralizing layer 220), at the end of step S16, patterns of the second polymer grafted to the bottom of the cavities 111. These patterns have the shape and dimensions of the bottom 112 of the cavities 111 after the step of forming the spacers 130 (cf. FIGS. 2C-2D; reduction of the width W ' cavities 111). They constitute the guide patterns 210 of the chemical guide structure 200. In this first embodiment, the guide patterns 210 are separated from the neutralization layer 220 by bare regions of the surface of the substrate 100. In these regions, created by the removal of the spacers 130, the chemical affinity vis with respect to the block copolymer is defined by the substrate 100. The substrate 100 may be made of a material having a preferential affinity for one of the blocks of the copolymer, different from that of the guide patterns 210, or on the contrary an equivalent affinity for each of the blocks of the copolymer, such as the neutralization layer 220. The removal of step S16 can be carried out wet in a single operation if the sacrificial material of the first layer 110 and the sacrificial material of the spacers 130 are identical, or at least sensitive to the same etching solution. The etching solution is, for example, a hydrofluoric acid (HF) solution when the first layer 110 and the spacers 130 are made of S1O2. The elimination of the first layer 110 and the spacers 130 can also be carried out in two successive operations. The sacrificial materials and the etching solutions are then necessarily different (for example HF for S1O2, H3PO4 for S13N4). Step S16 of removing the first layer 110 and the spacers 130 is advantageously followed by rinsing with solvent (water, PGMEA, etc.), in order to remove the etching residues. In a variant implementation of the method, not shown in the figures, the second polymer solution is deposited in step S15 in excess thickness on the first layer 110. The second polymer 150 is then also grafted onto the first layer 110 in sacrificial material. To give access to the etching solution of the first layer 110 and the spacers 130, it may be necessary to remove the second polymer 150 grafted onto the first layer 110 beforehand. This removal can be carried out during a so-called planarization step, by means of a plasma (for example based on CO, O2, CO2, H2, N2, etc.), with etching stopped on the first layer 110 (by detection of the first layer 110 by reflectometry). FIGS. 4A to 4G represent steps S21 to S27 of the method for forming a chemical guide structure, according to a second embodiment of the invention. In this second embodiment, the order in which the guide patterns 210 and the neutralization layer 220 are formed is reversed. The way in which the guide patterns 210 of the second polymer are formed also differs with respect to the first embodiment. Rather than locating the grafting of the second polymer 150 using a mask (cf. FIG. 2E), the second polymer can be grafted or crosslinked over a large area of the substrate, then structured using a mask comprising spacers. Steps S21 to S24 relate to the formation of the spacers. During a first step S21 illustrated in FIG. 4A, patterns 300 in the form of a mesa, commonly called “mandrels”, are formed on the substrate 100, for example by depositing a layer of sacrificial material and structuring of the layer by photolithography. The sacrificial material of the mandrels 300 is for example a carbonaceous material deposited by centrifugation ("Spin On Carbon", SOC). Then, in step S22 of FIG. 4B, a layer 301 of the second polymer 150 is formed on the substrate 100 and the mandrels 300. The second polymer 150 can here be a graftable or crosslinkable material. The layer 301 of the second polymer 150 can be formed in the manner described above in relation to FIG. 2A and the neutralization layer 220 of the first polymer 140: deposition of a solution by centrifugation, grafting / crosslinking by annealing or crosslinking by UV, rinsing. The layer 301 of the second polymer 150 then covers the entire free surface of the substrate 100 and the mandrels 300. It is preferably of constant thickness (2-15 nm). In S23 (cf. Fig. 4C), a layer 302 made of sacrificial material (eg S1O2, SiOxNy, AI2O3 HfC> 2 ...) is deposited in a conforming manner (eg PLD, PEALD) on layer 301 of the second polymer 150. The thickness of the layer 302 of sacrificial material is constant and less than 12.5 nm. In the next step S24 (cf. FIG. 4D), the layer 302 of sacrificial material is etched selectively with respect to the second polymer 150. This etching is anisotropic, in a direction perpendicular to the surface of the substrate 100, so eliminating the horizontal parts of the layer 302 of sacrificial material and keeping only its vertical parts, disposed against the sides of the mandrels 300. Preferably, a dry etching technique is used in step S24, for example a plasma etching based fluorine (F2). The vertical parts of the layer 302 made of sacrificial material constitute spacers 311. The spacers 311 are therefore protruding patterns grouped in pairs and arranged on either side of the mandrels 300 (only two pairs of spacers are shown in the figure 4D). The section and dimensions of the spacers 311, in a plane parallel to the substrate 100, correspond to those of the guide patterns 210 that we are trying to achieve. The set of spacers 311 constitutes an etching mask 310. The second polymer 150 is preferably a crosslinkable material or a graftable material insensitive to plasma used if necessary for depositing the layer 302 in sacrificial material (PECVD) (FIG. 4C) and / or for anisotropically etching this same layer 302 ( Fig.4D). This graftable material can in particular be the homopolymer of polystyrene (h-PS). Crosslinkable polymers like X-PS have the advantage of being more resistant than graftable polymers. On the other hand, they are more difficult to implement, because it is more difficult to produce by centrifugation a uniform film of small thickness (less than 10 nm). Referring to FIG. 4E, the method then comprises a step S25 of etching the layer 301 of the second polymer through the spacers of the mask 310, until reaching the substrate 100. The etching, anisotropic, can be carried out by means of 'a plasma, for example based on oxygen (O2). The result of this step S25 is a transfer of the spacer patterns 311 in the layer 301 of the second polymer, in other words guide patterns 210 in a number identical to the number of spacer patterns 311 in the mask 310. The mandrels 300 made of carbonaceous material are advantageously eliminated. during this same step S25. The substrate 100 is preferably insensitive to etching (or protected by a layer insensitive to etching). The width W (measured in the section plane of FIGS. 4A-4G) is the smallest dimension of the spacers 311, which is commonly called "critical dimension". It fixes the width of the guide patterns 210 of the chemical guide structure 200 (cf. FIG. 4E). The critical dimension W of the spacers 311 - and therefore of the guide patterns 210 - is here less than 12.5 nm, in order to be able to assemble the new generation block copolymers called “high-X”. Advantageously, the critical dimension W of the spacers 311 is moreover substantially equal to half of the natural period Lo of the block copolymer (W = Lo / 2 ± 10%), in order to minimize the number of organizational defects in the blocks of the copolymer. For the same reason, the spacers 311 are advantageously spaced two by two by a distance substantially equal to an integer multiple of the natural period Lo of the block copolymer (Ls = n * Lo ± 10%, with n a natural integer not zero), and preferably from a distance between 40 nm and 60 nm. The distance D1 which separates two spacers from the same pair, in other words the width of the mandrels 300 (cf.Figs.4D-4E), is for example 40 nm. The distance D2 which separates two consecutive pairs of spacers 311 is for example equal to 40 nm or 60 nm. The following step S26, illustrated in FIG. 4F, consists in grafting the first polymer 140 onto the substrate 100 in order to form the neutralization layer 220. The first polymer 140 is grafted through the spacers 311 in one or more regions devoid of guide patterns 210, and advantageously over the entire surface of the substrate 100 outside of the guide patterns 210. Preferably, step S26 of FIG. 4F takes place in the manner described in relation to FIG. 2E (step S15). Finally, in step S27 of FIG. 4G, the spacers 311 made of sacrificial material are selectively removed with respect to the substrate 100 and to the first and second polymers, so as to expose the guide patterns 210. The removal of the spacers 311 can be performed by wet etching (for example HF in the case of spacers made of Si02). When the second polymer 150 is a crosslinkable material, the removal of the spacers 311 (step S27) can also take place before the grafting of the first polymer 140 (step S26). In fact, the guide patterns 210 formed from the second polymer are in this case not affected by the grafting of the first polymer (the first graftable polymer does not replace or mix with the second crosslinkable polymer). The chemical guide structure 200 obtained at the end of the process according to the invention and represented by FIGS. 2F and 4G can be used in a self-assembly process directed from block copolymer ("Directed Self-Assembly", DSA) , and more particularly in a chemo-epitaxy process, in order to generate secondary patterns of very high resolution and density. With reference to FIGS. 3 and 5, this chemo-epitaxy method comprises (in addition to the formation of the guide structure 200) a step of depositing a block copolymer 500 on the chemical guide structure 200 and a step of assembly block copolymer 500, for example by thermal annealing. The block copolymer 500 can be a di-block (two monomers) or multi-block (more than two monomers) copolymer, a mixture of polymers, a mixture of copolymers or else the mixture of a copolymer and a homopolymer. The blocks of the copolymer are, after assembly, oriented perpendicular to the substrate 100, thanks to the presence of the neutralization layer 220. In the embodiment of FIG. 3 (corresponding to FIGS. 2A-2F), the block copolymer 500 can be of any morphology, for example lamellar, cylindrical, spherical, gyroid ..., according to the proportion between the blocks of monomer. In the embodiment of FIG. 5 (corresponding to FIGS. 4A-4G), the block copolymer 500 is of lamellar morphology, because the spacers 311 and the guide patterns 210 have a section (in a plane parallel to the substrate 100) in the form of a line. The block copolymer 500 is preferably a "high-X" copolymer, that is to say a copolymer with a natural period Lo of less than 25 nm. It can be chosen from the following materials: - PS-b-PMMA: polystyrene-block-polymethylmethacrylate, at least one of the two blocks of which is chemically modified; - PS-b-PDMS: polystyrene-block-polydimethylsiloxane; - PS-b-PLA: polystyrene-block-polylactic acid; - PS-b-PEO: polystyrene-block-polyethylene oxide; - PS-b-PMMA-b-PEO: polystyrene-block-polymethylmethacrylate-block-polyethylene oxide; - PS-b-P2VP: polystyrene-block-poly (2vinÿIpyridine); - PS-6-P4VP; polystyrene-block-poly (4vinylpyridine); - PS-b-PFS: poly (styrene) -block-poly (ferrocenyldimethylsilane); - PS-b-PI-b-PFS: poly (styrene) -blQck-poly (isoprene) -block-po! Y (ferrocenyldimethylsilane); - PS-b-P (DMS-r-VMS): polystyrene-block-poly (dimethylsiloxane-r-vinylmethylsiloxane); - PS-b-PMAPOSS; polystyrene-block-poly (methyl acrylate) POSS; - PDMSB-b-PS: poly (1,1 -dimethylsilacyclobutane) -block-polystyrene; - PDMSB-b-PMMA: poly (1,1-dimethylsilacyclobutane) -block-poly (methyl methacrylate); - PMMA-b-PMAPOSS: poly (methyl methacrylate) -bloek-poly (methyl acrylate) POSS; - P2VP-P-PDMS; poly (2-vinylpyridine) -block-poly (dimethyl siloxane); - PTMSS-b-PLA: poly (trimethylsilylstyrene) -block-poly (D, L-lactide); - PTMSS-b-PDLA: pdy {trimethylsi1ylstyrene) -block-poly (D-lactic acid); - PTMSS-b-PMOST: poly (trimethylsilylstyrene) -block-poly (4-methoxystyrene); - PLA-b-PDMS: poly (D, L-lactide) -block-poly (dimethylsiloxane); - PAcOSt-b-PSi2St: poly (4-acetoxystyrene) -block-poly (4- (Bis (trimethylsilyl) methyl) styrene); - 1,2-PB-b-PDMS: 1,2-polybutadiene-block-poly (dimethyl siloxane); - PtBS-b-PMMA; poly (4-tert-butylstyrene) -block-poIy (methyl methacrylate); - PCHE-b-PMMA: polycyclohexane-block-poly (methyl methacrylate); - MH-b-PS: maltoheptaose-block-polystyrene. Document WO2014 / 165530 gives examples of a PS-b-PMMA copolymer having at least one chemically modified block. The modified PS-b-PMMA copolymer is for example P (S-VBCB) -b-PMMA (poly {styrene-co -2-vinylbenzocycIobutene-block-poly (methyl methacrylate)). The molar ratio between the styrene and the VBCB in the first block is typically between 90/10 and 10/90, preferably between 90/10 and 30/70 and even more preferably between 50/50 and 30/70. The block copolymer typically has an average molecular weight of between 1,000 Da and 100,000 Da, preferably between 5,000 Da and 50,000 Da and more preferably still between 10,000 Da and 40,000 Da. In addition, the molar ratio between the first block and the second block is typically between 90/10 and 10/90, preferably between 70/30 and 30/70, and even more preferably 50/50 (to have a lamellar morphology ). More generally, the modified PS-b-PMMA copolymer (the PS block of which is modified) can be of formula P (SX) - /> PMMA, where X can be one of the following monomers or a combination of the following monomers: vinyl benzocyclobutene (VBCB), 1-vinylnaphthalate, 2-vinylnapthalate, 2-vinylanthracene, 9-vinylanthracene, 9-vinylphenanthrene, 4-trimethylsilylstyrene. FIG. 6 represents an optional step S37 of the method of FIGS. 4A-4G. This takes place after step S26 of FIG. 4F. During this step S37, the chemical affinity of the neutralization layer 220 is modified locally, that is to say in only part of the neutralization layer 220, in order to form on the surface of the substrate 100 an area 400 of preferential affinity with one of the blocks of the copolymer. The area 400 is preferably devoid of guide pattern 210. The chemical affinity of the neutralization layer 220 is preferably modified by exposure to ultraviolet (UV) radiation 410 of wavelength λ between 150 nm and 350 nm , for example equal to 172 nm. To locate the chemical modification of the neutralization layer 220, a mask (not shown) can be interposed between the source of the radiation and the substrate 100, which supports the neutralization layer 220. The mask exposes a first portion 220a of the layer of neutralization, whose chemical affinity is to be modified. On the other hand, it covers the guide patterns 210 and a second portion 220b of the neutralization layer, which, on the contrary, is to be left intact. The exposure can in this case take place before or after step S27 of removal of the spacers 311. As illustrated in FIG. 6, the exposure to UV radiation 410 can alternatively be carried out over the entire surface of the substrate 100 (ie full plate), without interposing a mask, by taking advantage of the spacers 311. The exposure must then take place before removal of the spacers 311. Indeed, by spacing them two by two by a distance less than or equal to half the wavelength λ of the UV radiation (D1 <λ / 2 and D2 <λ / 2; cf. Fig. 4E), the spacers 311 form a filter which prevents UV radiation 410 from reaching the guide patterns 210 and the second portion 220b of the neutralization layer. Other treatments, such as exposure to an electron beam, ion implantation or exposure to certain plasmas, can be implemented (in combination with a mask) to locally modify the chemical affinity of the layer of neutralization 220. FIG. 7 represents a guide structure 200 ’, obtained after the removal of the spacers 311 from FIG. 6, and the assembly of the block copolymer 500 on this guide structure 200’. The guide structure 200 ′ includes the guide patterns 210, the first portion 220a and the second portion 220b of the neutralization layer. During assembly, the blocks of the copolymer do not orient themselves perpendicularly to the substrate 100 in the zone 400 of preferential affinity, where the first portion 220a of the neutralization layer is located, but parallel to the substrate 100. No transfer of secondary patterns (after removal of one of the phases of the copolymer) cannot therefore take place in this zone 400 of the substrate. As indicated above, the use of spacers 130 (Figs.2A-2F) and 311 (Figs.4A-4G) to delimit the guide patterns 210 makes it possible to obtain a guide structure compatible with block copolymers "High-X". The width of the spacers, and therefore the critical dimension of the guide patterns, can be easily controlled by adjusting the thickness of the conformal deposit. The use of spacers also makes it possible to reduce the line edge roughness (or LER, for “Line Edge Roughness”) and the line width roughness (or LWR, for “Line Width Roughness >>) of the patterns. guide, which leads to a better quality assembly. Naturally, the training method according to the invention is not limited to the modes of implementation described with reference to Figures 2, 4 and 6 and many variants and modifications will appear to those skilled in the art. In particular, the first polymer 140 and the second polymer 150 could have other compositions than those described above. Likewise, other block copolymers could be used. The chemical guide structures that can be produced using the formation process according to the invention are not limited to the juxtaposition of homopolymer guide patterns and a neutralization layer. Other types of units, having different chemical affinities than those described above, can be used. For example, the chemical guide structure 200 may be composed of a first motif (or set of motifs) having a preferential affinity for a block of the copolymer and of a second motif (or set of motifs) having a preferential affinity for a another block of the copolymer. The first and second polymers could then both be homopolymers.
权利要求:
Claims (9) [1" id="c-fr-0001] 1. Method for forming a chemical guide structure (200, 200 ′) intended for the self-assembly of a block copolymer (500) by chemo-epitaxy, the method comprising the following steps: - forming on a substrate (100) a functionalization layer (220) made of a first polymeric material (140) having a first chemical affinity with respect to the block copolymer (500); forming on the substrate guide patterns (210) in a second polymer material (150) having a second chemical affinity with respect to the block copolymer, different from the first chemical affinity; characterized in that the guide patterns (210) have a critical dimension (W) of less than 12.5 nm and are formed by means of a mask (310) comprising spacers (130, 311). [2" id="c-fr-0002] 2. Method according to claim 1, in which the first chemical affinity is equivalent for each of the blocks of the copolymer and in which the second chemical affinity is preferential for one of the blocks of the copolymer. [3" id="c-fr-0003] 3. Method according to one of claims 1 and 2, comprising the following steps: - forming (S11) the functionalization layer (220) on the substrate (100); - depositing (S12) a layer of sacrificial material (110) on the functionalization layer; - forming (S12) in the layer of sacrificial material (110) and in the functionalization layer (220) cavities (111) opening onto the substrate (100), each cavity comprising a bottom (112) and side walls (113 ); - forming (S13, S14) the spacers (130) against the side walls of the cavities (ni); - grafting (S15) the second polymeric material (150) to the bottom of the cavities between the spacers (130); and - removing (S16) the spacers (130) and the layer of sacrificial material (110). [4" id="c-fr-0004] 4. Method according to one of claims 1 and 2, comprising the following steps: - forming (S22) a layer (301) of the second polymeric material (150) on the substrate (100); - forming (S23-S24) the spacers (311) on the layer of the second polymeric material; - etching (S25) the layer (301) of the second polymeric material through the spacers (311) to form the guide patterns (210); - grafting (S26) the first polymeric material (140) onto the substrate outside the guide patterns to form the functionalization layer (220); and - removing (S27) the spacers (311). [5" id="c-fr-0005] 5. The method of claim 4, further comprising a step (S37) of modifying the first chemical affinity in only part (220a) of the functionalization layer (220) by exposure to ultraviolet radiation (410). [6" id="c-fr-0006] 6. Method according to claim 5, in which: the exposure to ultraviolet radiation (410) is carried out over the entire surface of the substrate (100) before the step (S27) of removal of the spacers (311); - ultraviolet radiation has a wavelength (λ) between 150 nm and 350 nm; and - the spacers (311) are separated two by two by a distance (D1, D2) less than or equal to half the wavelength. [7" id="c-fr-0007] 7. Method according to any one of claims 4 to 6, in which the mask (310) comprises at least two spacers (311) of critical dimension (W) substantially equal to half of the natural period (Lo) of the copolymer to blocks (500) and wherein the spacers (311) are further spaced in pairs by a distance (D1, D2) substantially equal to an integer multiple of the natural period of the block copolymer. [8" id="c-fr-0008] 8. A chemo-epitaxy method comprising the following steps: - forming a chemical guide structure (200, 200 ’) on a substrate (100) using a method according to any one of claims 1 to 7; - deposit a block copolymer (500) on the chemical guide structure (200, 200 ’); and - assembling the block copolymer (500). [9" id="c-fr-0009] 9. The method of claim 8, wherein the block copolymer (500) is a copolymer with a natural period (Lo) less than 25 nm.
类似技术:
公开号 | 公开日 | 专利标题 EP3503165B1|2021-03-03|Method for forming a chemical guiding structure on a substrate and method for chemo-epitaxy EP2998981B1|2018-01-31|Graphoepitaxy method for creating patterns on the surface of a substrate EP3347769B1|2019-08-07|Method for selective etching of a block copolymer FR3057991B1|2019-06-21|METHOD FOR FORMING A FUNCTIONALIZED ASSEMBLY GUIDE EP3238233A1|2017-11-01|Method for producing patterns WO2017202861A1|2017-11-30|Method for the directed self-assembly of a block copolymer by graphoepitaxy WO2017202859A1|2017-11-30|Method for forming a functionalised guide pattern for a graphoepitaxy method FR3075775A1|2019-06-28|METHOD OF FORMING CHEMICAL GUIDE STRUCTURE ON SUBSTRATE AND CHEMICAL EPITAXY METHOD EP3812347A1|2021-04-28|Method for forming a chemical guiding structure on a substrate and method for chemo-epitaxy EP3249468B1|2018-12-26|Method for producing patterns FR3041119A1|2017-03-17|METHOD FOR SELECTIVELY ENGRAVING A BLOCK COPOLYMER FR3085381A1|2020-03-06|DIRECT SELF-ASSEMBLY PROCESS OF A BLOCK COPOLYMER MIXTURE FR3051965A1|2017-12-01|METHOD FOR FORMING A FUNCTIONALIZED GUIDING PATTERN FOR A GRAPHO-EPITAXY PROCESS EP1988566B1|2017-08-02|Method for creating patterns inside a polymer layer WO2020058281A1|2020-03-26|Method for directed self-assembly of a block copolymer having a low glass transition temperature FR3102295A1|2021-04-23|Directed self-assembly lithography process FR3101355A1|2021-04-02|Neutral underlayer for block copolymer and polymer stack comprising such an underlayer covered with a film of block copolymer
同族专利:
公开号 | 公开日 EP3503165B1|2021-03-03| US10845705B2|2020-11-24| TW201935521A|2019-09-01| KR20190075857A|2019-07-01| US20190196336A1|2019-06-27| JP2019114792A|2019-07-11| EP3503165A1|2019-06-26| FR3075774B1|2021-07-30|
引用文献:
公开号 | 申请日 | 公开日 | 申请人 | 专利标题 US20170069486A1|2015-09-07|2017-03-09|Imec Vzw|Directed Self-Assembly Using Trench Assisted Chemoepitaxy| US9182673B2|2011-07-18|2015-11-10|Asml Netherlands B.V.|Method for providing a template for a self-assemblable polymer for use in device lithography| EP2981985B1|2013-04-03|2021-03-10|Brewer Science, Inc.|Highly etch-resistant polymer block for use in block copolymers for directed self-assembly|FR3051965A1|2016-05-27|2017-12-01|Commissariat Energie Atomique|METHOD FOR FORMING A FUNCTIONALIZED GUIDING PATTERN FOR A GRAPHO-EPITAXY PROCESS| US10727058B2|2018-08-20|2020-07-28|Applied Materials, Inc.|Methods for forming and etching structures for patterning processes| DE102020123934A1|2019-10-29|2021-04-29|Taiwan Semiconductor Manufacturing Co., Ltd.|SELF-ALIGNED DOUBLE STRUCTURING|
法律状态:
2018-12-31| PLFP| Fee payment|Year of fee payment: 2 | 2019-06-28| PLSC| Publication of the preliminary search report|Effective date: 20190628 | 2019-12-31| PLFP| Fee payment|Year of fee payment: 3 | 2020-12-28| PLFP| Fee payment|Year of fee payment: 4 | 2021-12-31| PLFP| Fee payment|Year of fee payment: 5 |
优先权:
[返回顶部]
申请号 | 申请日 | 专利标题 FR1762863|2017-12-21| FR1762863A|FR3075774B1|2017-12-21|2017-12-21|PROCESS FOR FORMING A CHEMICAL GUIDANCE STRUCTURE ON A SUBSTRATE AND CHEMO-EPITAXY PROCESS|FR1762863A| FR3075774B1|2017-12-21|2017-12-21|PROCESS FOR FORMING A CHEMICAL GUIDANCE STRUCTURE ON A SUBSTRATE AND CHEMO-EPITAXY PROCESS| US16/227,101| US10845705B2|2017-12-21|2018-12-20|Method for forming a chemical guiding structure on a substrate and chemoepitaxy method| EP18214862.7A| EP3503165B1|2017-12-21|2018-12-20|Method for forming a chemical guiding structure on a substrate and method for chemo-epitaxy| TW107146341A| TW201935521A|2017-12-21|2018-12-21|Method for forming a chemical guiding structure on a substrate and chemoepitaxy method| KR1020180167262A| KR20190075857A|2017-12-21|2018-12-21|Method for forming a chemical guiding structure on a substrate and chemoepitaxy method| JP2018239148A| JP2019114792A|2017-12-21|2018-12-21|Method for forming chemical guiding structure on substrate and chemical epitaxy method| 相关专利
Sulfonates, polymers, resist compositions and patterning process
Washing machine
Washing machine
Device for fixture finishing and tension adjusting of membrane
Structure for Equipping Band in a Plane Cathode Ray Tube
Process for preparation of 7 alpha-carboxyl 9, 11-epoxy steroids and intermediates useful therein an
国家/地区
|